From 40e6fa2722fa3c37edbc0d0faa5a1164c7a68e34 Mon Sep 17 00:00:00 2001 From: RossTheRoss Date: Sat, 22 Feb 2020 19:58:57 -0600 Subject: AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA (Lab 3 partially working) --- Lab3.X/lab3_main_c.c | 38 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 38 insertions(+) create mode 100644 Lab3.X/lab3_main_c.c (limited to 'Lab3.X/lab3_main_c.c') diff --git a/Lab3.X/lab3_main_c.c b/Lab3.X/lab3_main_c.c new file mode 100644 index 0000000..1ead16d --- /dev/null +++ b/Lab3.X/lab3_main_c.c @@ -0,0 +1,38 @@ +#include "xc.h" +#include "display.h" +#include "numpad.h" +// CW1: FLASH CONFIGURATION WORD 1 (see PIC24 Family Reference Manual 24.1) +#pragma config ICS = PGx1 // Comm Channel Select (Emulator EMUC1/EMUD1 pins are shared with PGC1/PGD1) +#pragma config FWDTEN = OFF // Watchdog Timer Enable (Watchdog Timer is disabled) +#pragma config GWRP = OFF // General Code Segment Write Protect (Writes to program memory are allowed) +#pragma config GCP = OFF // General Code Segment Code Protect (Code protection is disabled) +#pragma config JTAGEN = OFF // JTAG Port Enable (JTAG port is disabled) + + +// CW2: FLASH CONFIGURATION WORD 2 (see PIC24 Family Reference Manual 24.1) +#pragma config I2C1SEL = PRI // I2C1 Pin Location Select (Use default SCL1/SDA1 pins) +#pragma config IOL1WAY = OFF // IOLOCK Protection (IOLOCK may be changed via unlocking seq) +#pragma config OSCIOFNC = ON // Primary Oscillator I/O Function (CLKO/RC15 functions as I/O pin) +#pragma config FCKSM = CSECME // Clock Switching and Monitor (Clock switching is enabled, + // Fail-Safe Clock Monitor is enabled) +#pragma config FNOSC = FRCPLL // Oscillator Select (Fast RC Oscillator with PLL module (FRCPLL)) + + +void setup(void) { + CLKDIVbits.RCDIV = 0; //Set RCDIV=1:1 (default 2:1) 32MHz or FCY/2=16M + AD1PCFG = 0x9fff; //sets all pins to digital I/O + init7seg(); + initKeyPad(); +} + +int main(void) { + setup(); + while (1) { + showChar7seg('1', LSB); + delay(170); + showChar7seg('0', MSB); + delay(170); + } +} + + -- cgit v1.2.3