From bd08a920917c2364316269b4df72e86abcc65c87 Mon Sep 17 00:00:00 2001 From: RossTheRoss Date: Thu, 3 Dec 2020 12:06:28 -0600 Subject: fix oopsie --- ee4363/mp1/mp12/test_mipspipe.vcd | 188 +++++++++++++++++++++++--------------- 1 file changed, 116 insertions(+), 72 deletions(-) (limited to 'ee4363/mp1/mp12/test_mipspipe.vcd') diff --git a/ee4363/mp1/mp12/test_mipspipe.vcd b/ee4363/mp1/mp12/test_mipspipe.vcd index 2cda5d0..9a6b26f 100644 --- a/ee4363/mp1/mp12/test_mipspipe.vcd +++ b/ee4363/mp1/mp12/test_mipspipe.vcd @@ -1,5 +1,5 @@ $date - Thu Dec 3 09:49:50 2020 + Thu Dec 3 12:05:26 2020 $end $version Icarus Verilog @@ -88,27 +88,25 @@ b1 1 b10 # b10 0 b1000 6 -b10001100101000110000000000000100 3 +b100000 3 b10 " 1! #20 0! #25 b101 + -b101 ) -b11 ( -b100011 * +b0 ) +b0 ( b0 5 b1 . b10000010010100000100000 / b11 - -b10001100101000110000000000000100 2 -b11 $ -b11 1 -b101 # -b101 0 +b100000 2 +b0 $ +b0 1 +b0 # +b0 0 b1100 6 -b10001100010000100000000000000000 3 b11 " 1! #30 @@ -117,149 +115,195 @@ b11 " b101 & b1 % b0 + -b100011 , -b10 ) -b10 ( b10000010010100000100000 4 b11 5 -b11 . -b10001100101000110000000000000100 / -b1001 - -b10001100010000100000000000000000 2 -b10 $ -b10 1 -b10 # -b10 0 +b0 . +b100000 / +b0 - b10000 6 -b101000110001100000100101 3 b100 " 1! #40 0! #45 b0 & -b11 % -b100011 ' -b101 ) -b11 ( -b0 * -b10001100101000110000000000000100 4 +b0 % +b100000 4 b0 5 -b10 . -b10001100010000100000000000000000 / -b10 - -b101000110001100000100101 2 -b11 $ -b11 1 -b101 # -b101 0 b10100 6 -b10101100101000110000000000000000 3 +b10001100101000110000000000000100 3 b101 " 1! #50 0! #55 -b10 % -b11 + -b0 , -b101011 * -b10001100010000100000000000000000 4 -b11 . -b101000110001100000100101 / -b111 - -b10101100101000110000000000000000 2 +b101 ) +b11 ( +b100011 * +b10001100101000110000000000000100 2 +b11 $ +b11 1 b11 # b11 0 b11000 6 -b100000 3 +b10001100010000100000000000000000 3 b110 " 1! #60 0! #65 -b11 & +b100011 , +b10 ) +b10 ( +b11 . +b10001100101000110000000000000100 / +b111 - +b10001100010000100000000000000000 2 +b10 $ +b10 1 +b10 # +b10 0 +b11100 6 +b100000 3 +b111 " +1! +#70 +0! +#75 b11 % -b0 ' -b0 + -b101011 , +b100011 ' b0 ) b0 ( b0 * -b101000110001100000100101 4 -b111 5 -b10101100101000110000000000000000 / -b11 - +b10001100101000110000000000000100 4 +b11111111111111111111111111111111 5 +b10 . +b10001100010000100000000000000000 / +b10 - b100000 2 b0 $ b0 1 b0 # b0 0 -b11100 6 -b111 " -1! -#70 -0! -#75 -b0 & -b101011 ' -b0 , -b10101100101000110000000000000000 4 -b0 . -b100000 / -b0 - b100000 6 b1000 " 1! #80 0! #85 -b0 % -b0 ' -b100000 4 +b10 % +b0 , +b10001100010000100000000000000000 4 b0 5 +b0 . +b100000 / +b0 - b100100 6 +b101000110001100000100101 3 b1001 " 1! #90 0! #95 +b0 % +b0 ' +b101 ) +b11 ( +b100000 4 +b101000110001100000100101 2 +b11111111111111111111111111111111 $ +b11111111111111111111111111111111 1 +b11 # +b11 0 b101000 6 +b100000 3 b1010 " 1! #100 0! #105 +b11 + +b0 ) +b0 ( +b11111111111111111111111111111111 . +b101000110001100000100101 / +b11111111111111111111111111111111 - +b100000 2 +b0 $ +b0 1 +b0 # +b0 0 b101100 6 b1011 " 1! #110 0! #115 +b11 & +b11 % +b0 + +b101000110001100000100101 4 +b11111111111111111111111111111111 5 +b0 . +b100000 / +b0 - b110000 6 b1100 " 1! #120 0! #125 +b0 & +b0 % +b100000 4 +b0 5 b110100 6 +b10101100101000110000000000000000 3 b1101 " 1! #130 0! #135 +b101 ) +b11 ( +b101011 * +b10101100101000110000000000000000 2 +b11111111111111111111111111111111 $ +b11111111111111111111111111111111 1 +b11 # +b11 0 b111000 6 +b100000 3 b1110 " 1! #140 0! #145 +b101011 , +b0 ) +b0 ( +b0 * +b11111111111111111111111111111111 . +b10101100101000110000000000000000 / +b11 - +b100000 2 +b0 $ +b0 1 +b0 # +b0 0 b111100 6 b1111 " 1! #150 0! #155 +b11 % +b101011 ' +b0 , +b10101100101000110000000000000000 4 +b0 . +b100000 / +b0 - b1000000 6 b0 " 1! -- cgit v1.2.3