aboutsummaryrefslogtreecommitdiffstats
path: root/ee4363/mp1/mp11/test_mipsalu.v
diff options
context:
space:
mode:
authorRossTheRoss <mstrapp@protonmail.com>2021-01-17 12:57:21 -0600
committerRossTheRoss <mstrapp@protonmail.com>2021-01-17 12:57:21 -0600
commitdde37c31a72f4773e95faf8223ef450440bdb62c (patch)
treee238837d1d2f364b95483f6281960f9483078c1a /ee4363/mp1/mp11/test_mipsalu.v
parentIDK (diff)
downloadhomework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar.gz
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar.bz2
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar.lz
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar.xz
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.tar.zst
homework-dde37c31a72f4773e95faf8223ef450440bdb62c.zip
get rid of that trash
Diffstat (limited to 'ee4363/mp1/mp11/test_mipsalu.v')
-rw-r--r--ee4363/mp1/mp11/test_mipsalu.v49
1 files changed, 0 insertions, 49 deletions
diff --git a/ee4363/mp1/mp11/test_mipsalu.v b/ee4363/mp1/mp11/test_mipsalu.v
deleted file mode 100644
index 9738ed5..0000000
--- a/ee4363/mp1/mp11/test_mipsalu.v
+++ /dev/null
@@ -1,49 +0,0 @@
- `timescale 1ns/100ps
-//
-// Test Bench for the mips alu
-// T. Posbergh, 14 October 2012
-//
- `include "MIPSALU.v"
-//
-module test_mipsalu;
- wire Zero; // ALU bit output
- wire [31:0] ALUOut; // ALU word output
- reg [31:0] A,B; // ALU word inpus
- reg [3:0] ALUctl;
-
- reg clock;
- reg reset;
-
-// instantiate the alu and control
-
- MIPSALU U0(ALUctl, A, B, ALUOut, Zero);
-
-// generate test signals
-
- initial
- begin
- A=32'b0000_0000_0000_0000_0000_0000_0000_1111;
- B=32'b0000_0000_0000_0000_0000_0000_0000_0001;
- #10 ALUctl=4'b0000;
- #10 ALUctl=4'b0001;
- #10 ALUctl=4'b0010;
- #10 ALUctl=4'b0110;
-// $finish(100);
- #10 $finish;
- end
-
-// output result
-
- initial
- $monitor($time, " A = %h",A," B = %h",B," ALUOut = %h",ALUOut," Zero = %b",Zero);
-
-// the following generates vcd file for GTKwave
- initial
- begin
- $dumpfile("MIPSAlu.vcd");
- $dumpvars;
- end
-
-endmodule
-
-