aboutsummaryrefslogtreecommitdiffstats
path: root/OLD/ee4363/mp2/output.txt
blob: cefb5c69048771f32060d4d6ad368f0c44a16ff3 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
VCD info: dumpfile test_mipspipe.vcd opened for output.


clock cycle =  1 (time = 10)
IF/ID registers
	 IF/ID.PC+4 = 00000004, IF/ID.IR = 00412820 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = xxxxxxxx, ID/EX.B = xxxxxxxx
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = xxxxxxxx, EX/MEM.ALUout = xxxxxxxx
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = xxxxxxxx
	 EX/MEM.op = 00



clock cycle =  2 (time = 20)
IF/ID registers
	 IF/ID.PC+4 = 00000008, IF/ID.IR = 8ca30004 

ID/EX registers
	 ID/EX.rs =  2, ID/EX.rt =  1
	 ID/EX.A = 00000002, ID/EX.B = 00000001
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  2, EX/MEM.rt =  1
	 EX/MEM.ALUOut = xxxxxxxx, EX/MEM.ALUout = xxxxxxxx
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = xxxxxxxx
	 EX/MEM.op = 00



clock cycle =  3 (time = 30)
IF/ID registers
	 IF/ID.PC+4 = 0000000c, IF/ID.IR = aca70005 

ID/EX registers
	 ID/EX.rs =  5, ID/EX.rt =  3
	 ID/EX.A = 00000005, ID/EX.B = 00000003
	 ID/EX.op = 23

EX/MEM registers
	 EX/MEM.rs =  5, EX/MEM.rt =  3
	 EX/MEM.ALUOut = 00000003, EX/MEM.ALUout = 00000001
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = xxxxxxxx
	 EX/MEM.op = 00



clock cycle =  4 (time = 40)
IF/ID registers
	 IF/ID.PC+4 = 00000010, IF/ID.IR = 00602020 

ID/EX registers
	 ID/EX.rs =  5, ID/EX.rt =  7
	 ID/EX.A = 00000005, ID/EX.B = 00000007
	 ID/EX.op = 2b

EX/MEM registers
	 EX/MEM.rs =  5, EX/MEM.rt =  7
	 EX/MEM.ALUOut = 00000007, EX/MEM.ALUout = 00000003
	 EX/MEM.op = 23

MEM/WB registers
	 MEM/WB.rd =  5, MEM/WB.rt =  1
	 MEM/WB.value = 00000003
	 EX/MEM.op = 00



clock cycle =  5 (time = 50)
IF/ID registers
	 IF/ID.PC+4 = 00000014, IF/ID.IR = 01093020 

ID/EX registers
	 ID/EX.rs =  3, ID/EX.rt =  0
	 ID/EX.A = 00000003, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  3, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 0000000a, EX/MEM.ALUout = 00000007
	 EX/MEM.op = 2b

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  3
	 MEM/WB.value = ffffffff
	 EX/MEM.op = 23



clock cycle =  6 (time = 60)
IF/ID registers
	 IF/ID.PC+4 = 00000018, IF/ID.IR = ac06000c 

ID/EX registers
	 ID/EX.rs =  8, ID/EX.rt =  9
	 ID/EX.A = 00000008, ID/EX.B = 00000009
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  8, EX/MEM.rt =  9
	 EX/MEM.ALUOut = ffffffff, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  7
	 MEM/WB.value = ffffffff
	 EX/MEM.op = 2b



clock cycle =  7 (time = 70)
IF/ID registers
	 IF/ID.PC+4 = 0000001c, IF/ID.IR = 00c05020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  6
	 ID/EX.A = 00000000, ID/EX.B = 00000006
	 ID/EX.op = 2b

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  6
	 EX/MEM.ALUOut = 00000011, EX/MEM.ALUout = 00000009
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  4, MEM/WB.rt =  0
	 MEM/WB.value = ffffffff
	 EX/MEM.op = 00



clock cycle =  8 (time = 80)
IF/ID registers
	 IF/ID.PC+4 = 00000020, IF/ID.IR = 8c0b0010 

ID/EX registers
	 ID/EX.rs =  6, ID/EX.rt =  0
	 ID/EX.A = 00000006, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  6, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 0000000c, EX/MEM.ALUout = 00000006
	 EX/MEM.op = 2b

MEM/WB registers
	 MEM/WB.rd =  6, MEM/WB.rt =  9
	 MEM/WB.value = 00000011
	 EX/MEM.op = 00



clock cycle =  9 (time = 90)
IF/ID registers
	 IF/ID.PC+4 = 00000024, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt = 11
	 ID/EX.A = 00000000, ID/EX.B = 0000000b
	 ID/EX.op = 23

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt = 11
	 EX/MEM.ALUOut = 00000006, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  6
	 MEM/WB.value = 00000011
	 EX/MEM.op = 2b



clock cycle = 10 (time = 100)
IF/ID registers
	 IF/ID.PC+4 = 00000028, IF/ID.IR = 002b6020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = 00000000, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 00000010, EX/MEM.ALUout = 0000000b
	 EX/MEM.op = 23

MEM/WB registers
	 MEM/WB.rd = 10, MEM/WB.rt =  0
	 MEM/WB.value = 00000006
	 EX/MEM.op = 00



clock cycle = 11 (time = 110)
IF/ID registers
	 IF/ID.PC+4 = 0000002c, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  1, ID/EX.rt = 11
	 ID/EX.A = 00000001, ID/EX.B = 0000000b
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  1, EX/MEM.rt = 11
	 EX/MEM.ALUOut = 00000000, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt = 11
	 MEM/WB.value = fffffffe
	 EX/MEM.op = 23



clock cycle = 12 (time = 120)
IF/ID registers
	 IF/ID.PC+4 = 00000030, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = 00000000, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 0000000c, EX/MEM.ALUout = 0000000b
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = 00000000
	 EX/MEM.op = 00



clock cycle = 13 (time = 130)
IF/ID registers
	 IF/ID.PC+4 = 00000034, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = 00000000, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 00000000, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd = 12, MEM/WB.rt = 11
	 MEM/WB.value = 0000000c
	 EX/MEM.op = 00



clock cycle = 14 (time = 140)
IF/ID registers
	 IF/ID.PC+4 = 00000038, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = 00000000, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 00000000, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = 00000000
	 EX/MEM.op = 00



clock cycle = 15 (time = 150)
IF/ID registers
	 IF/ID.PC+4 = 0000003c, IF/ID.IR = 00000020 

ID/EX registers
	 ID/EX.rs =  0, ID/EX.rt =  0
	 ID/EX.A = 00000000, ID/EX.B = 00000000
	 ID/EX.op = 00

EX/MEM registers
	 EX/MEM.rs =  0, EX/MEM.rt =  0
	 EX/MEM.ALUOut = 00000000, EX/MEM.ALUout = 00000000
	 EX/MEM.op = 00

MEM/WB registers
	 MEM/WB.rd =  0, MEM/WB.rt =  0
	 MEM/WB.value = 00000000
	 EX/MEM.op = 00



clock cycle =  0 (time = 160)